Reducing Network-on-Chip energy consumption through spatial locality speculation Conference Paper uri icon

abstract

  • As processor chips become increasingly parallel, an efficient communication substrate is critical for meeting performance and energy targets. In this work, we target the root cause of network energy consumption through techniques that reduce link and router-level switching activity. We specifically focus on memory subsystem traffic, as it comprises the bulk of NoC load in a CMP. By transmitting only the flits that contain words predicted useful using a novel spatial locality predictor, our scheme seeks to reduce network activity. We aim to further lower NoC energy through microarchitectural mechanisms that inhibit datapath switching activity for unused words in individual flits. Using simulation-based performance studies and detailed energy models based on synthesized router designs and different link wire types, we show that (a) the prediction mechanism achieves very high accuracy, with an average misprediction rate of just 2.5%; (b) the combined NoC energy savings enabled by the predictor and microarchitectural support are 35% on average and up to 60% in the best case; and (c) the performance impact of these energy optimizations is negligible. 2011 ACM.

name of conference

  • the Fifth ACM/IEEE International Symposium

published proceedings

  • Proceedings of the Fifth ACM/IEEE International Symposium (NOCS)

author list (cited authors)

  • Kim, H., Ghoshal, P., Grot, B., Gratz, P. V., & Jimnez, D. A.

citation count

  • 28

complete list of authors

  • Kim, Hyungjun||Ghoshal, Pritha||Grot, Boris||Gratz, Paul V||JimĂ©nez, Daniel A

publication date

  • May 2011