Improving Multi-Core Performance Using Mixed-Cell Cache Architecture Conference Paper uri icon

abstract

  • Many enterprise and mobile systems must operate within strict power constraints. These systems dynamically trade off performance and power to maximize performance while keeping power within specified limits. In multi-core systems, maximizing the number of active cores within a strict power budget requires minimizing the power per core. Lowering core voltage dramatically reduces power, but compromises cache reliability. Mixed-cell cache architectures, where part of the cache is designed with larger, more robust cells, enable caches to operate reliably at low voltage while minimizing the added cost of larger cells. But mixed-cell caches suffer from poor low-voltage scalability since caches can only use robust cells at low voltage, sacrificing up to 75% of cache capacity. Such capacity reduction strains shared cache resources, leading to significant performance losses. In this paper, we propose a mixed-cell architecture that improves multi-core performance by allowing the use of both robust and non-robust cells. Our mechanisms store modified data only in robust lines by modifying the cache replacement policy and handling writes to non-robust lines. For a multi-core processor, our best mechanism improves performance by 17%, and reduces dynamic power in the L1 data cache by 50% over prior mixed-cell proposals. 2013 IEEE.

name of conference

  • 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA)

published proceedings

  • 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA)

author list (cited authors)

  • Khan, S. M., Alameldeen, A. R., Wilkerson, C., Kulkarni, J., & Jimnez, D. A.

citation count

  • 21

complete list of authors

  • Khan, Samira M||Alameldeen, Alaa R||Wilkerson, Chris||Kulkarni, Jaydeep||JimĂ©nez, Daniel A

publication date

  • January 2013