A Two-Level Load/Store Queue Based on Execution Locality Conference Paper uri icon

abstract

  • Multicore processors have emerged as a powerful platform on which to efficiently exploit thread-level parallelism (TLP). However, due to Amdahl's Law, such designs will be increasingly limited by the remaining sequential components of applications. To overcome this limitation it is necessary to design processors with many lower-performance cores for TLP and some high-performance cores designed to execute sequential algorithms. Such cores will need to address the memory-wall by implementing kilo-instruction windows. Large window processors require large Load/Store Queues that would be too slow if implemented using current CAM-based designs. This paper proposes an Epoch-based Load Store Queue (ELSQ), a new design based on Execution Locality. It is integrated into a large-window processor that has a fast, out-of-order core operating only on L1/L2 cache hits and N slower cores that process L2 misses and their dependent instructions. The large LSQ is coupled with the slow cores and is partitioned into N small and local LSQs, one per core. We evaluate ELSQ in a large-window environment, finding that it enables high performance at low power. By exploiting locality among loads and stores, ELSQ outperforms even an idealized central LSQ when implemented on top of a decoupled processor design. 2008 IEEE.

name of conference

  • 2008 International Symposium on Computer Architecture

published proceedings

  • 2008 International Symposium on Computer Architecture

altmetric score

  • 3

author list (cited authors)

  • Perics, M., Cristal, A., Cazorla, F. J., Gonzlez, R., Veidenbaum, A., Jimnez, D. A., & Valero, M.

citation count

  • 9

complete list of authors

  • Pericàs, Miquel||Cristal, Adrian||Cazorla, Francisco J||González, Ruben||Veidenbaum, Alex||Jiménez, Daniel A||Valero, Mateo

publication date

  • January 2008