Low-Power. High-Performance Analog Neural Branch Prediction Conference Paper uri icon

abstract

  • Shrinking transistor sizes and a trend toward low-power processors have caused increased leakage, high per-device variation and a larger number of hard and soft errors. Maintaining precise digital behavior on these devices grows more expensive with each technology generation. In some cases, replacing digital units with analog equivalents allows similar computation to be performed at higher speed and lower power. The units that can most easily benefit from this approach are those whose results do not have to be precise, such as various types of predictors. We introduce the Scaled Neural Predictor (SNP), a highly accurate prediction algorithm that is infeasible in a purely digital implementation, but can be implemented using analog circuitry. Our analog implementation, the Scaled Neural Analog Predictor (SNAP), uses current summation in place of the expensive digital dot-product computation required in neural predictors. We show that the analog predictor can outperform digital neural predictors because of the reduced cost, in power and latency, of the key computations. The SNAP circuit is able to produce an accuracy nearly equivalent to an infeasible digital neural predictor that requires 128 additions per prediction. The analog version, however, can run at 3GHz, with the analog portion of the prediction computation requiring approximately 7 milliwatts at a 45nm technology, which is small compared to the power required for the table lookups in this and conventional predictors. 2008 IEEE.

name of conference

  • 2008 41st IEEE/ACM International Symposium on Microarchitecture

published proceedings

  • 2008 41st IEEE/ACM International Symposium on Microarchitecture

author list (cited authors)

  • Amant, R., Jimnez, D. A., & Burger, D.

citation count

  • 33

complete list of authors

  • Amant, Renée||Jiménez, Daniel A||Burger, Doug

publication date

  • January 2008