Last-level Cache Deduplication Conference Paper uri icon

abstract

  • Caches are essential to the performance of modern micro- processors. Much recent work on last-level caches has focused on exploiting reference locality to improve efficiency. However, value redundancy is another source of potential improvement. We find that many blocks in the working set of typical benchmark programs have the same values. We propose cache deduplication that effectively increases last- level cache capacity. Rather than exploit specific value redundancy with compression, as in previous work, our scheme detects duplicate data blocks and stores only one copy of the data in a way that can be accessed through multiple physical addresses. We find that typical benchmarks exhibit significant value redundancy, far beyond the zero-content blocks one would expect in any program. Our deduplicated cache effectively increases capacity by an average of 112% com- pared to an 8MB last-level cache while reducing the physical area by 12.2%, yielding an average performance improvement of 15.2%. 2014 ACM.

name of conference

  • Proceedings of the 28th ACM international conference on Supercomputing

published proceedings

  • PROCEEDINGS OF THE 28TH ACM INTERNATIONAL CONFERENCE ON SUPERCOMPUTING, (ICS'14)

altmetric score

  • 9

author list (cited authors)

  • Tian, Y., Khan, S. M., Jimenez, D. A., & Loh, G. H.

citation count

  • 39

complete list of authors

  • Tian, Yingying||Khan, Samira M||Jimenez, Daniel A||Loh, Gabriel H

editor list (cited editors)

  • Bode, A., Gerndt, M., Stenström, P., Rauchwerger, L., Miller, B. P., & Schulz, M.

publication date

  • June 2014