RouteNet: Routability Prediction for Mixed -Size Designs Using Convolutional Neural Network Conference Paper uri icon

abstract

  • 2018 ACM. Early routability prediction helps designers and tools perform preventive measures so that design rule violations can be avoided in a proactive manner. However, it is a huge challenge to have a predictor that is both accurate and fast. In this work, we study how to leverage convolutional neural network to address this challenge. The proposed method, called RouteNet, can either evaluate the overall routability of cell placement solutions without global routing or predict the locations of DRC (Design Rule Checking) hotspots. In both cases, large macros in mixed-size designs are taken into consideration. Experiments on benchmark circuits show that RouteNet can forecast overall routability with accuracy similar to that of global router while using substantially less runtime. For DRC hotspot prediction, RouteNet improves accuracy by 50% compared to global routing. It also significantly outperforms other machine learning approaches such as support vector machine and logistic regression.

name of conference

  • Proceedings of the International Conference on Computer-Aided Design

published proceedings

  • 2018 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD) DIGEST OF TECHNICAL PAPERS

author list (cited authors)

  • Xie, Z., Huang, Y., Fang, G., Ren, H., Fang, S., Chen, Y., & Hu, J.

citation count

  • 52

complete list of authors

  • Xie, Zhiyao||Huang, Yu-Hung||Fang, Guan-Qi||Ren, Haoxing||Fang, Shao-Yun||Chen, Yiran||Hu, Jiang

publication date

  • November 2018