Pattern Generation for Understanding Timing Sensitivity to Power Supply Noise Academic Article uri icon

abstract

  • 2014, Springer Science+Business Media New York. Timing prediction has become more and more difficult with shrinking technology nodes. Combining the pre-silicon delay model with post-silicon timing measurements has the potential to improve the accuracy of timing analysis. In this work, we address the problem of automatic test pattern generation for understanding circuit timing sensitivity to power supply noise (PSN) during post-silicon validation. Long paths are selected from a pseudo functional test set to span the power delivery network. To determine the sensitivity of timing to on-chip noise, the patterns are intelligently filled to achieve the desired PSN level. Our previous PSN control scheme is enhanced to consider both spatial and temporal information for better correlation with functional PSN. These patterns can be used to understand timing sensitivity in post-silicon validation by repeatedly applying the path delay test while sweeping the PSN experienced by the path from low to high.

published proceedings

  • JOURNAL OF ELECTRONIC TESTING-THEORY AND APPLICATIONS

author list (cited authors)

  • Zhang, T., Gao, Y., & Walker, D.

citation count

  • 1

complete list of authors

  • Zhang, Tengteng||Gao, Yukun||Walker, DMH

publication date

  • February 2015