A lithography-friendly structured ASIC design approach Conference Paper uri icon

abstract

  • Integrated circuit manufacturing costs are increasing with decreasing device feature sizes, due to significant increases in mask costs. At the same time, systematic processing variations due to optical proximity effects are also increasing, making it harder to predict the circuit behavior with fidelity. Therefore, there is a need to implement designs using regular circuit structures. In this paper, we present a new structured ASIC approach which utilizes an array of 2-input NAND gates. Our NAND2 array based circuit implementation reduces manufacturing costs, and design turn-around times because different designs can share the same masks up to the poly layer. The regular layout structure of our NAND2 array also helps in reducing systematic variations. We compared the performance of our NAND2 array with the ASIC approach by implementing several benchmark circuits using both methods. The experimental results demonstrate that on average, our approach has a delay penalty of 40%, an area penalty of 12%, and a power increase of 7%, compared to an ASIC design approach. This is better than the previously reported structured ASIC approaches. We also performed lithographical simulations of the poly and metal masks of the designs implemented using our approach as well as the ASIC design approach. These lithographical simulation results demonstrate that our approach has lower errors on the poly and the Metall layers by 7% and 24% respectively, compared to the ASIC approach. Copyright 2008 ACM.

name of conference

  • Proceedings of the 18th ACM Great Lakes symposium on VLSI

published proceedings

  • Proceedings of the 18th ACM Great Lakes symposium on VLSI

author list (cited authors)

  • Gopalani, S., Garg, R., Khatri, S. P., & Cheng, M.

citation count

  • 10

complete list of authors

  • Gopalani, Salman||Garg, Rajesh||Khatri, Sunil P||Cheng, Mosong

publication date

  • January 2008