Useful Clock Skew Optimization under A Multi-corner Multi-mode Design Framework Conference Paper uri icon

abstract

  • As VLSI technology scales into sub-65nm realm, the complexity of timing optimization is drastically increased by the consideration of power and variations. Even though designers make great efforts during physical design, they are often faced with still heavy timing violations in deep post-routing stages. For the entire design convergence and timing closure, especially under current multi-corner multi-mode design, some more efficient methods need to be invented. In this work, we propose to address such a kind of issue by exploiting useful clock skew, which can help reduce timing violations rapidly. We also add mode/corner metric balancing measurements to make this method more flexible and applicable especially in such deep stages while the CTS is ready. The results indicate that our method can achieve an average improvements of 33.16% on the worst slack (WS) and 75.56% on the total negative slack (TNS), respectively. 2010 IEEE.

name of conference

  • 2010 11th International Symposium on Quality Electronic Design (ISQED)

published proceedings

  • PROCEEDINGS OF THE ELEVENTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN (ISQED 2010)

author list (cited authors)

  • Shen, W., Cai, Y., Chen, W., Lu, Y., Zhou, Q., & Hu, J.

citation count

  • 9

complete list of authors

  • Shen, Weixiang||Cai, Yici||Chen, Wei||Lu, Yongqiang||Zhou, Qiang||Hu, Jiang

publication date

  • March 2010