An improved AMG-based method for fast power grid analysis Conference Paper uri icon

abstract

  • The continuing VLSI technology scaling leads to increasingly significant power supply fluctuations, which needs to be modeled accurately in circuit design and verification. Meanwhile, the huge size of power grid requires its analysis to be fast and highly scalable. Algebraic multigrid (AMG) has been recognized as a promising approach for fast power grid analysis. We propose several techniques to improve AMG-based power grid analysis: (1) dynamic reduction threshold; (2) weighted interpolation; and (3) a new error smoothing scheme. Experimental results on power grid with up to 1.6 million nodes show that these techniques can improve accuracy by over 10 times compared to a reported industrial method while retaining the same fast speed. 2006 IEEE.

name of conference

  • 7th International Symposium on Quality Electronic Design (ISQED'06)

published proceedings

  • ISQED 2006: PROCEEDINGS OF THE 7TH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN

author list (cited authors)

  • Zhuo, C., Hu, J., & Chen, K.

citation count

  • 3

complete list of authors

  • Zhuo, Cheng||Hu, Jiang||Chen, Kangsheng

publication date

  • January 2006