Navigating register placement for low power clock network design Academic Article uri icon

abstract

  • With VLSI design development, the increasingly severe power problem requests to minimize clock routing wirelength so that both power consumption and power supply noise can be alleviated. In contrast to most of traditional works that handle this problem only in clock routing, we propose to navigate standard cell register placement to locations that enable further less clock routing wirelength and power. To minimize adverse impacts to conventional cell placement goals such as signal net wirelength and critical path delay, the register placement is carried out in the context of a quadratic placement. The proposed technique is particularly effective for the recently popular prescribed skew clock routing. Experiments on benchmark circuits show encouraging results. Copyright 2005 The Institute of Electronics, Information and Communication Engineers.

published proceedings

  • IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES

author list (cited authors)

  • Lu, Y. Q., Sze, C. N., Hong, X. L., Zhou, Q., Cai, Y. C., Huang, L., & Hu, J.

citation count

  • 1

complete list of authors

  • Lu, YQ||Sze, CN||Hong, XL||Zhou, Q||Cai, YC||Huang, L||Hu, J

publication date

  • December 2005