Detecting Tangled Logic Structures in VLSI Netlists Conference Paper uri icon

abstract

  • This work proposes a new problem of identifying large and tangled logic structures in a synthesized netlist. Large groups of cells that are highly interconnected to each other can often create potential routing hotspots that require special placement constraints. They can also indicate problematic clumps of logic that either require resynthesis to reduce wiring demand or specialized datapath placement. At a glance, this formulation appears similar to conventional circuit clustering, but there are two important distinctions. First, we are interested in finding large groups of cells that represent entire logic structures like adders and decoders, as opposed to clusters with only a handful of cells. Second, we seek to pull out only the structures of interest, instead of assigning every cell to a cluster to reduce problem complexity. This work proposes new metrics for detecting structures based on Rent's rule that, unlike traditional cluster metrics, are able to fairly differentiate between large and small groups of cells. Next, we demonstrate how these metrics can be applied to identify structures in a netlist. Finally, our experiments demonstrate the ability to predict and alleviate routing hotspots on a real industry design using our metrics and method. Copyright 2010 ACM.

name of conference

  • Proceedings of the 47th Design Automation Conference

published proceedings

  • PROCEEDINGS OF THE 47TH DESIGN AUTOMATION CONFERENCE

author list (cited authors)

  • Jindal, T., Alpert, C. J., Hu, J., Li, Z., Nam, G., & Winn, C. B.

citation count

  • 12

complete list of authors

  • Jindal, Tanuj||Alpert, Charles J||Hu, Jiang||Li, Zhuo||Nam, Gi-Joon||Winn, Charles B

publication date

  • June 2010